...Aantoonbare ervaring met zowel algemeen elektronica-ontwerp en/of het ontwerpen van ASIC's en IC's. Kennis van ontwerptalen zoals VHDL, Verilog, of andere relevante programmeertalen. Ervaring met ontwerpsimulatietools en verificatiemethoden. Uitgebreide kennis... 
VHDL
Met vast contract
Fulltime
Parttime

Verhaert On-Site Consulting

India
12 dagen geleden
 ...and embedded systems: In-depth knowledge of electronics principles and embedded systems design, with particular expertise in FPGA and VHDL technologies. * Experience in electronic signal acquisition and processing technologies: Hands-on experience with SDR cards and... 
VHDL
Stage
Met vast contract

M3 Systems

Brussel
4 dagen geleden
 ..., recherche de composants et évaluation de leur pérennité. Programmation embarquée en C sur cibles microcontrôleurs (STM32, PIC, VHDL) Programmation de logiciels sur PC. (Windows) Caractérisation et qualification de cartes et sous-ensembles électroniques. Essais... 
VHDL
Zomerbaan

Fortil

Luik
1 dag deleden
 .../Updating/Understanding the in-house processor architecture • Creating/Updating/Understanding the in-house processor FPGA Design (VHDL Code in Microsemi FPGA) • Support the verification team (error debug, testbench modifications,…) Required knowledge • Capture... 
VHDL
Fulltime

Engibex

1130 Haren
4 dagen geleden
 ...requirements with innovative solutions Cope with the challenging space environment Create critical, high quality gateware RTL: VHDL Tests on target: Makefile, Embedded-C, Embedded-Linux, FreeRTOS, Python CI/CD: Gitlab, Docker Key Activities Analyze... 
VHDL
Fulltime

Aerospacelab

België
2 dagen geleden
 ...Voor een groeiende firma in de regio van Oostkamp zijn we op zoek naar een FPGA Firmware Engineer Je ontwikkeld en test nieuwe FPGA VHDL code; Ontwerpen van nieuwe digitale elektronica; Schrijven van testspecificaties en technische documentatie; Je assisteert... 
VHDL

TecQuality

8020 Hertsberge
1 dag deleden
 ...te creëren die de mogelijkheden in de wereld van elektronica opnieuw definiëren. Jouw Avontuur: Creëer en verfijn nieuwe FPGA VHDL-code die de grenzen van elektronica verlegt. Herwerk bestaande VHDL-code om deze naar nieuwe prestatiehoogten te brengen. Voer... 
VHDL
Fulltime
8020 Oostkamp
22 dagen geleden
 ...environnement industriel, en Kicad. Expérience dans l'écriture de logiciels de bas niveau en C/C++.  Connaissance ou intérêt pour le VHDL (Xilinx FPGA)  Sens du travail structuré au sein d'une équipe enthousiaste  Connaissance des langues : méthodologie néerlandaise... 
VHDL
Zomerbaan

CTRL-F NV

8620 Nieuwpoort
3 dagen geleden
 ...Relevant experience with off-the-shelf FPGA platforms, preferably Zynq Ultrascale+. · Demonstrated skill in developing FPGA code in VHDL and integration both with hardware and software interfaces. · Strong understanding of tools and methodologies used in the context... 
VHDL

XenomatiX

Leuven
2 dagen geleden
 ...track record of delivering tape-out quality GDS2 with silicon success. ~ Solid understanding of digital circuit design and Verilog/VHDL ~ Excellent analytical skills and used to work independently and precisely. ~ Fluent in English ~ Swiss-, UK-, EU-citizen or... 
VHDL
Voor uitvoerders

u-blox AG

Brussel
3 dagen geleden
 ...understanding of SoC design principles NoC architectures and related technologies. Proficiency in hardware description languages (Verilog VHDL) and experience with simulation tools. Excellent problemsolving and troubleshooting skills. Effective communication skills... 
VHDL
Op afstand werken

Agensi Pekerjaan RP Manpro Sdn Bhd

3665 As
29 dagen geleden
 ...environments. You have excellent communication skills in English (French and Dutch is a plus). An interest in embedded software (FPGA, VHDL) is a plus. Previous experience in Agile software development is an advantage. You are an active team player with very good... 
VHDL
Met contract

TMC Group

België
7 uur geleden
 ...English Proven experience in the development of embedded systems Proven experience with FPGA technology and FPGA tools (e.g.  VHDL, Verilog ) Experience with model-based software software development methods and tools tools (e.g. Matlab/Simulink ) desirable... 
VHDL
Thuiswerk

Technology & Strategy

België
4 dagen geleden
 ...bilingue français, vous avez un Master en Ingénierie aéronautique, spatial ou équivalent. Vous avez une connaissance de C, C++ et VHDL Connaissances des normes DO est un plus Vous avez le goût de l’innovation et vous êtes force de proposition. Vous êtes... 
VHDL
Met contract

TMC Group

België
7 uur geleden
 ...advantageous. Exhibiting a good grasp of RF or EMI aspects. Considered as a plus: knowledge of audio processing, experience with VHDL. Familiarity with the Certification process of products. Fostering a mindset for methodology and precision in work.... 
VHDL
Stage
Fulltime
Met contract

AGO Interim

8870 Izegem
1 dag deleden
 ...FPGA implementation flow for physical layer and/or real-time MAC layer design, experience in hardware language (either Verilog or VHDL) is highly appreciated, as the candidate is expected to deliver efficient design of advanced features in upcoming WiFi standards (OFDMA... 
VHDL
Met contract
Fulltime

University of Ghent

Vlaams Gewest
2 dagen geleden
 ...verbal communication skills; proficiency in English Preferred Qualifications & Experience • Experience with Verilog and/or VHDL programming languages targeting programmable devices (FPGAs, CPLDs) • Working knowledge of applied cryptography •... 
VHDL
Met vast contract

The White Team

Brussel
2 maanden geleden

3300 € - 4000 € per maand

 ...ervaring. Als Hardware Ingenieur ben je thuis in of hebt een een sterke interesse voor het ontwerpen van PCB's in Kicad alsook in VHDL. Reeds enige ervaring in het schrijven van low level software C/C++ is een meerwaarde als Hardware Ingenieur. Nederlands en... 
VHDL
8620 Nieuwpoort
7 uur geleden
 ...coming up with a recommendation of the state of the art, current and future standards, implementation complexity Porting of ASIC VHDL/Verilog code to FPGA and vice versa Expected Qualifications (or willingness to learn) You’re studying towards a degree in either... 
VHDL
Stage
Fulltime
Ploegendienst

Keysight Technologies

3110 Rotselaar
4 dagen geleden
 ...Qualifications Profile MS degree in Electrical Engineering or Computer Science with experience in HDL languages (Verilog, SystemVerilog, VHDL) ~7+years of experience in digital verification with hands on experience with SystemVerilog, Verilog and UVM. ~ Experience in SoC... 
VHDL
Fulltime

Semiconductor Components Industries, LLC

Antwerpen
4 dagen geleden
 ...manufacturing and testing Radiation SEU testing and FPGA circuit redundancy solutions (e.g. spatial, temporal redundancy) Development of VHDL/Verilog for FPGAs and integrating IP cores in FPGA design. Our Offer Working within an exceptional sector with Staff located... 
VHDL
Fulltime
Met contract
Met vast contract
Thuiswerk

Space Applications Services

1930 Zaventem
2 maanden geleden
 ...motivated engineer with at least four years of experience in digital IC design You have experience with front-end ASIC or FPGA design in VHDL and/or Verilog and/or SystemVerilog You have experience with front-end verification, preferably in SystemVerilog + UVM, to write... 
VHDL

ICsense NV

Leuven
2 maanden geleden
 ...Mécatronique Engineering et avez acquis 5 belles années d'expériences depuis votre sortie d'étude dont 3 en HW Design ? Pour vous le FPGA/VHDL n'a aucun secret ( ou presque, personne n'est parfait! ) ? Vous connaissez les principes de la programmation C/Python ? Formidable !... 
VHDL
Op afstand werken

Itlink

Luik
19 uur geleden
 ...SystemC. Scripting languages like Perl or Ruby. The ASIC and/or FPGA design and verification process i.e.: RTL design entry (VHDL or Verilog). Logic Synthesis and Timing Closure (Synopsys, Cadence). Git Elementary professional working proficiency in... 
VHDL
Thuiswerk

Vlaamse Technische Kring vzw

3110 Rotselaar
2 dagen geleden
 ...Array Multibeam Sonar. Solid understanding and handsonexperience on Digital Signal Processing concepts and algorithms. Verilog or VHDL programming experience of Signal Processing algorithms in FPGAs. Solid programming skills in C/C language. Proficiency in... 
VHDL
Stage
Op afstand werken

Prime Searches

3665 As
2 maanden geleden
 ...documentation and packagingEager to learn and to continuously improve your skills, also in adjacent domains like hardwareExpertise in VHDL, Python is an assetExperience with Intel, Lattice and/or Xilinx toolchains is an assetExperience with automated simulation and test... 
VHDL

Vlaamse Technische Kring vzw

Leuven
4 dagen geleden
 ...and coming up with a recommendation of the state of the art, current and future standards, implementation complexity Porting of ASIC VHDL/Verilog code to FPGA and vice versa Expected Qualifications (or willingness to learn) You’re studying towards a degree in... 
VHDL
Stage
Fulltime
Ploegendienst

Keysight Technologies

3110 Rotselaar
2 maanden geleden
 ...Array Multibeam Sonar. Solid understanding and handsonexperience on Digital Signal Processing concepts and algorithms. Verilog or VHDL programming experience of Signal Processing algorithms in FPGAs. Solid programming skills in C/C language. Proficiency in... 
VHDL
Stage

Zivahh

3665 As
2 maanden geleden