Zoekresultaten: 26 vacatures

 ...Aantoonbare ervaring met zowel algemeen elektronica-ontwerp en/of het ontwerpen van ASIC's en IC's. Kennis van ontwerptalen zoals VHDL, Verilog, of andere relevante programmeertalen. Ervaring met ontwerpsimulatietools en verificatiemethoden. Uitgebreide kennis... 
VHDL
Met vast contract
Fulltime
Parttime

Verhaert On-Site Consulting

India
10 dagen geleden
 ...and embedded systems: In-depth knowledge of electronics principles and embedded systems design, with particular expertise in FPGA and VHDL technologies. * Experience in electronic signal acquisition and processing technologies: Hands-on experience with SDR cards and... 
VHDL
Stage
Met vast contract

M3 Systems

Brussel
1 dag deleden
 .../Updating/Understanding the in-house processor architecture • Creating/Updating/Understanding the in-house processor FPGA Design (VHDL Code in Microsemi FPGA) • Support the verification team (error debug, testbench modifications,…) Required knowledge • Capture... 
VHDL
Fulltime

Engibex

1130 Haren
2 dagen geleden
 ...requirements with innovative solutions Cope with the challenging space environment Create critical, high quality gateware RTL: VHDL Tests on target: Makefile, Embedded-C, Embedded-Linux, FreeRTOS, Python CI/CD: Gitlab, Docker Key Activities Analyze... 
VHDL
Fulltime

Aerospacelab

België
5 dagen geleden
 ...een groeiende firma in de regio van Oostkamp zijn we op zoek naar een FPGA Firmware Engineer Je ontwikkeld en test nieuwe FPGA VHDL code; Ontwerpen van nieuwe digitale elektronica; Schrijven van testspecificaties en technische documentatie; Je assisteert... 
VHDL

Tecquality

8020 Hertsberge
15 dagen geleden
 ...te creëren die de mogelijkheden in de wereld van elektronica opnieuw definiëren. Jouw Avontuur: Creëer en verfijn nieuwe FPGA VHDL-code die de grenzen van elektronica verlegt. Herwerk bestaande VHDL-code om deze naar nieuwe prestatiehoogten te brengen. Voer... 
VHDL
Fulltime
8020 Oostkamp
20 dagen geleden
 ...environnement industriel, en Kicad. Expérience dans l'écriture de logiciels de bas niveau en C/C++.  Connaissance ou intérêt pour le VHDL (Xilinx FPGA)  Sens du travail structuré au sein d'une équipe enthousiaste  Connaissance des langues : méthodologie néerlandaise... 
VHDL
Zomerbaan

CTRL-F NV

8620 Nieuwpoort
1 dag deleden
 ...Relevant experience with off-the-shelf FPGA platforms, preferably Zynq Ultrascale+. · Demonstrated skill in developing FPGA code in VHDL and integration both with hardware and software interfaces. · Strong understanding of tools and methodologies used in the context... 
VHDL

XenomatiX

Leuven
5 dagen geleden
 ...environments. You have excellent communication skills in English (French and Dutch is a plus). An interest in embedded software (FPGA, VHDL) is a plus. Previous experience in Agile software development is an advantage. You are an active team player with very good... 
VHDL
Met contract

TMC Group

België
3 dagen geleden
 ...understanding of SoC design principles NoC architectures and related technologies. Proficiency in hardware description languages (Verilog VHDL) and experience with simulation tools. Excellent problemsolving and troubleshooting skills. Effective communication skills... 
VHDL
Op afstand werken

Agensi Pekerjaan RP Manpro Sdn Bhd

3665 As
27 dagen geleden
 ...bilingue français, vous avez un Master en Ingénierie aéronautique, spatial ou équivalent. Vous avez une connaissance de C, C++ et VHDL Connaissances des normes DO est un plus Vous avez le goût de l’innovation et vous êtes force de proposition. Vous êtes... 
VHDL
Met contract

TMC Group

België
3 dagen geleden
 ...English Proven experience in the development of embedded systems Proven experience with FPGA technology and FPGA tools (e.g.  VHDL, Verilog ) Experience with model-based software software development methods and tools tools (e.g. Matlab/Simulink ) desirable... 
VHDL
Thuiswerk

Technology & Strategy

België
2 dagen geleden
 ...advantageous. Exhibiting a good grasp of RF or EMI aspects. Considered as a plus: knowledge of audio processing, experience with VHDL. Familiarity with the Certification process of products. Fostering a mindset for methodology and precision in work.... 
VHDL
Stage
Fulltime
Met contract

AGO Interim

8870 Izegem
4 dagen geleden
 ...FPGA implementation flow for physical layer and/or real-time MAC layer design, experience in hardware language (either Verilog or VHDL) is highly appreciated, as the candidate is expected to deliver efficient design of advanced features in upcoming WiFi standards (OFDMA... 
VHDL
Met contract
Fulltime

University of Ghent

Vlaams Gewest
4 dagen geleden

3300 € - 4000 € per maand

 ...ervaring. Als Hardware Ingenieur ben je thuis in of hebt een een sterke interesse voor het ontwerpen van PCB's in Kicad alsook in VHDL. Reeds enige ervaring in het schrijven van low level software C/C++ is een meerwaarde als Hardware Ingenieur. Nederlands en... 
VHDL
8620 Nieuwpoort
1 dag deleden
 ...verbal communication skills; proficiency in English Preferred Qualifications & Experience • Experience with Verilog and/or VHDL programming languages targeting programmable devices (FPGAs, CPLDs) • Working knowledge of applied cryptography •... 
VHDL
Met vast contract

The White Team

Brussel
2 maanden geleden
 ...coming up with a recommendation of the state of the art, current and future standards, implementation complexity Porting of ASIC VHDL/Verilog code to FPGA and vice versa Expected Qualifications (or willingness to learn) You’re studying towards a degree in either... 
VHDL
Stage
Fulltime
Ploegendienst

Keysight Technologies

3110 Rotselaar
2 dagen geleden
 ...Qualifications Profile MS degree in Electrical Engineering or Computer Science with experience in HDL languages (Verilog, SystemVerilog, VHDL) ~7+years of experience in digital verification with hands on experience with SystemVerilog, Verilog and UVM. ~ Experience in SoC... 
VHDL
Fulltime

Semiconductor Components Industries, LLC

Antwerpen
2 dagen geleden
 ...manufacturing and testing Radiation SEU testing and FPGA circuit redundancy solutions (e.g. spatial, temporal redundancy) Development of VHDL/Verilog for FPGAs and integrating IP cores in FPGA design. Our Offer Working within an exceptional sector with Staff located... 
VHDL
Fulltime
Met contract
Met vast contract
Thuiswerk

Space Applications Services

1930 Zaventem
2 maanden geleden
 ...motivated engineer with at least four years of experience in digital IC design You have experience with front-end ASIC or FPGA design in VHDL and/or Verilog and/or SystemVerilog You have experience with front-end verification, preferably in SystemVerilog + UVM, to write... 
VHDL

ICsense NV

Leuven
2 maanden geleden